0
2.3kviews
Explain 4 bit Universal shift register

Mumbai University > Computer Engineering > Sem 3 > Digital Logic Design and Analysis

Marks: 10M

Year: May 2016

1 Answer
0
14views
  1. Bidirectional shift register allows shifting of data either to left or to the right side.
  2. It can be implemented using logic gates circuitry that enables the transfer of data from one stage to the next stage to the right or to the left, depend on the level of control line. …

Create a free account to keep reading this post.

and 4 others joined a min ago.

Please log in to add an answer.