2
112kviews
Design MOD 10 asynchronous counter
1 Answer
4
18kviews
  • MOD 10 asynchronous counter counts from 0000 to 1001. Rest of the states are invalid. To design the combinational circuit of valid states, following truth table and K-map is drawn:

enter image description here

  • From the above truth table, we draw the K-maps and get the expression for the MOD 10 asynchronous counter.

enter image description here

  • Thus the above K-map shows the expression for Y which is the reset logic. This will be given to the reset inputs of the counter so that as soon as count 1010 reaches, the counter will reset. Thus the counter will count from 0000 to 1001. The implementation of the designed MOD 10 asynchronous counter is shown below:

enter image description here

Please log in to add an answer.