0
2.3kviews
Explain 4 bit Universal shift register

Mumbai University > Computer Engineering > Sem 3 > Digital Logic Design and Analysis

Marks: 10M

Year: May 2016

1 Answer
0
14views
  1. Bidirectional shift register allows shifting of data either to left or to the right side.
  2. It can be implemented using logic gates circuitry that enables the transfer of data from one stage to the next stage to the right or to the left, depend on the level of control line.
  3. The RIGHT/LEFT is the control input signal which allows data shifting either towards right or towards left.
  4. A high on this line enables the shifting of data towards right and low enables it towards left.
  5. When RIGHT/LEFT is high, gates G1, G2, G3 and G4 are enabled.
  6. The state of Q output of each flip flop is passed through the D input of the following flip flop.
  7. When the pulse arrives, the data are shifted one place to the right.
  8. When the RIGHT/LEFT signal is low, gates G5, G6, G7 are enabled.
  9. The Q output of each flip-flop is passed through the D input of the preceding flip-flop. enter image description here
Please log in to add an answer.