0
19kviews
VHDL Code for Full Subtractor
1 Answer
2
3.3kviews

Diagram:-

enter image description here

Truth Table:-

enter image description here

Formulae:-

Difference = A XOR B XOR C

Borrow = A'.B + B.C + A'.C

Code:-

-------- Full Subtractor with STD_LOGIC_VECTOR: --------
Library ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
-------------------------------------------------------
ENTITY Full Subtractor IS
GENERIC (N: INTEGER := 16); --- number of input bits
PORT (a,b,c: IN STD_LOGIC_VECTOR(N-1 DOWNTO 0):
diff, bor: OUT STD_LOGIC_VECTOR(N-1 DOWNTO 0));
END Full Subtractor;
------------------------------------------------------
ARCHITECTURE Full Subtractor OF Full Subtractor IS
BEGIN
diff <= a XOR b XOR c;
bor <= ((NOT a AND b) OR (b AND c) OR (NOT a AND c));
END Full Subtractor;
Please log in to add an answer.