0
19kviews
VHDL Code for Full Subtractor
1 Answer
2
3.3kviews

Diagram:-

enter image description here

Truth Table:-

enter image description here

Formulae:-

Difference = A XOR B XOR C

Borrow = A'.B + B.C + A'.C

Code:-

-------- Full Subtractor with STD_LOGIC_VECTOR: --------
Library ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
-------------------------------------------------------
ENTITY Full Subtractor IS
GENERIC (N: INTEGER := 16); --- number of input bits
PORT (a,b,c: IN STD_LOGIC_VECTOR(N-1 …

Create a free account to keep reading this post.

and 4 others joined a min ago.

Please log in to add an answer.