0
13kviews
Write VHDL code for Fibonacci Series Generator.
1 Answer
0
2.0kviews

Diagram:-

enter image description here

Simulation Output:-

enter image description here

Code:-

------------------------------------------------------------
ENTITY fibonacci IS
GENERIC (N: INTEGER := 16); ------number of bits
PORT (clk, rst : IN BIT;
fibo_series : OUT INTEGER RANGE 0 TO 2**N-1);
END fibonacci;
------------------------------------------------------------
ARCHITECTURE fibonacci OF fibonacci IS
SIGNAL a,b,c: INTEGER RANGE 0 TO 2**N-1;
BEGIN
PROCESS (clk,rst)
BEGIN
IF …

Create a free account to keep reading this post.

and 2 others joined a min ago.

Please log in to add an answer.