0
897views
Entity declaration in VHDL for NOR gate.

a] Entity declaration in VHDL for NOR gate.

NOR gate

enter image description here

Library JEEE;

use JEEE, STD_LOGIC_1164.ALL;

entity nor-top is

Port (A . in STD_LOGIC; - - - - - NOR gate input

B : in STD_LOGIC; NOR gate input

Y : Out STD_LOGIC; ) NOR gate output

end _ nor_top;

b] BCD Addition:

enter image description here

Please log in to add an answer.