1
95kviews
Design mealy sequence detector to detect a sequence ----1101---- using D filpflop and logic
1 Answer
5
7.0kviews
  • A sequence detector is a sequential state machine. In a Mealy machine, output depends on the present state and the external input (x). Hence in the diagram, the output is written outside the states, along with inputs. The state diagram of a Mealy machine for a 1101 detector is:

enter image description here

  • The …

Create a free account to keep reading this post.

and 3 others joined a min ago.

can u please tell the verilog code that can be run on xilinx software as well


Please log in to add an answer.